cap 1 secvente _numerice

39
PRELUCRAREA NUMERICĂ (sau DIGITALĂ) A SEMNALELOR (PNS / PDS) Introducere Un semnal este o mărime fizică, care depinde de una sau mai multe variabile independente ca timpul, distanţa, temperatura sau presiunea. Variaţia amplitudinii semnalului ca o funcţie de o variabilă sau de mai multe variabile independente se numeşte formă de undă. Dacă un semnal este o funcţie de o singură variabilă, se numeşte semnal unidimensional (1-D). Dacă este funcţie de două variabile, se numeşte semnal bidimensional (2-D). Un semnal multidimensional (M-D) va fi reprezentat de o funcţie de mai multe variabile. Noţiunea de semnal se referă de cele mai multe ori la modelul matematic sau la cel tehnic, alese adecvat pentru a descrie cât mai fidel complexitatea semnalelor fizice. Sensurile (uneori foarte diverse) asociate azi noţiunii de semnal ilustrează dorinţa oamenilor de ştiinţă de a modela cât mai corect realitatea în ansamblul ei şi, poate mai ales, în detaliu. De aceea, în lumea tehnico - ştiinţifică, se apreciază ca având un caracter axiomatic propoziţiile: - semnalul este o noţiune primordială (şi nu doar în electronică !); - teoria prelucrării semnalelor a devenit o disciplină fundamentală în pregătirea inginerilor (şi nu numai a lor!); 1

Upload: masteringlove

Post on 20-Jan-2016

104 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: CAP 1 Secvente _numerice

PRELUCRAREA NUMERICĂ (sau DIGITALĂ) A SEMNALELOR (PNS / PDS)

Introducere

Un semnal este o mărime fizică, care depinde de una sau mai multe variabile independente ca timpul, distanţa, temperatura sau presiunea.

Variaţia amplitudinii semnalului ca o funcţie de o variabilă sau de mai multe variabile independente se numeşte formă de undă.

Dacă un semnal este o funcţie de o singură variabilă, se numeşte semnal unidimensional (1-D). Dacă este funcţie de două variabile, se numeşte semnal bidimensional (2-D). Un semnal multidimensional (M-D) va fi reprezentat de o funcţie de mai multe variabile.

Noţiunea de semnal se referă de cele mai multe ori la modelul matematic sau la cel tehnic, alese adecvat pentru a descrie cât mai fidel complexitatea semnalelor fizice. Sensurile (uneori foarte diverse) asociate azi noţiunii de semnal ilustrează dorinţa oamenilor de ştiinţă de a modela cât mai corect realitatea în ansamblul ei şi, poate mai ales, în detaliu. De aceea, în lumea tehnico - ştiinţifică, se apreciază ca având un caracter axiomatic propoziţiile:

- semnalul este o noţiune primordială (şi nu doar în electronică !);- teoria prelucrării semnalelor a devenit o disciplină fundamentală în

pregătirea inginerilor (şi nu numai a lor!);- modelul de reprezentare ales pentru semnale este determinant în

prelucrarea lor (în cadrul sistemelor).Semnalele, care poartă informaţie, trebuie prelucrate pentru a se

extrage complet (sau parţial) informaţia conţinută.Prelucrarea semnalelor se ocupă cu reprezentarea (matematică a)

acestora în domeniul variabilei (sau variabilelor) originale sau într-un domeniu transformat şi cu modificarea (algoritmică a) semnalelor în scopul extragerii informaţiei conţinute.

1

Page 2: CAP 1 Secvente _numerice

De ce PNS/PDS ? Motivaţii:

- PNS/PDS – există!– are o teorie generală şi principii specifice;– constituie o teorie suport pentru alte discipline, aplicaţii;– este un “sistem deschis” pentru noi dezvoltări.

Iata un exemplu de prelucrare digitala a unui semnal analogic m(t):

O unitate de prelucrare numerica (sau digitala) va primi date de la senzori, de la diverse interfete sau de la alte sisteme mumerice si va furniza rezultate prelucrate (numeric) unor utilizatori, asa cum este prezentat in figura de mai jos.

2

PNS/PDSS&H A/D D/A

m(t)

t

O t

x[n]

010000110101

y[n]

010000110101

O t

m(t)

t

n

x[n]

n

y[n]

m(t) m(t)

UNITATE

DE

PRELUCRARE

NUMERICĂ

(DIGITALĂ)

SENZORI

INTERFEŢE

SISTEME NUMERICE

DATE

DATE

DATE

REZULTATE (PRELUCRATE) PT. UTILIZATORI

Page 3: CAP 1 Secvente _numerice

1. SEMNALE NUMERICE (sau DIGITALE)

1.1 Modelarea matematică a semnalelor

In general, un semnal electric este modelat ca o aplicatie, care face

corespondenta intre multimea timp (T) si multimea valorilor masurate (M) ale

semnalului:

Modelarea semnalelor analogice:

Modelarea semnalelor în timp discret sau a secvenţelor (de date):

De exemplu, o secventa de date are valorile:{…0,1,2,3,2,1,0,-1,-2,…} corespunzatoare momentelor discrete de de timp: n =…,-3,-2,-1,0,1,2,3,4,5,…

Observatie: x[n] reprezintă secvenţa în ansamblul ei sau valoarea secvenţei la momentul “n”.

1.2 De la semnale analogice la semnale discrete

3

x[n]

-4 -3 -2 -1 0 1 2 3 4 5 6 7 . . . . n

x[-2] x[-2]

x[-1] x[-1]

x[0]3

2

1

Page 4: CAP 1 Secvente _numerice

Fie un semnal analogic esantionat la momentul t=nT, astfel incat:

Putem nota ca:

Rezulta semnalul numeric:

1.3 Semnale numerice 1D. Secvenţe 1D

Modelul matematic al unui semnal electric în domeniul timp discret poate fi definit ca o aplicaţie:

care asociază fiecărui moment (de timp) discret o

valoare (a semnalului) Atunci când

valorile semnalului au fost cuantizare şi codate (eventual, corespunzător unui număr finit de niveluri), semnalul în timp discret se numeşte semnal numeric (sau digital). Un semnal (numeric) în timp discret este o secvenţă de numere (întregi, reale sau complexe) ordonate în N sau Z.

1.4 Reprezentarea secvenţelor 1D

4

0 0 0unde :

st rad radT esantionn s esantion

Page 5: CAP 1 Secvente _numerice

{x0, x1, x2, . . ., xN-1}unde M N, Z, R, C

unde T N, Z

O secventa x[n] poate fi reprezentata ca:

a). Vector de date:

De exemplu:

Secvenţă infinită de date

Secvenţă finită de date, de lungime Nvaloarea datelor

momente discrete de timp / de tact

In prelucrare, o secvenţă finită poate fi reprezentată ca:{... 0, 0, 0, x0, x1, . . ., xN-1, 0, 0, 0, . . . }

cu xi 0 pentru i < 0 si i > N cu i Z Secvenţă periodică:

{... xN-2, xN-1, x0, x1, . . ., xN-1, x0, x1, . . ., xN-1, x0, . . . } N Z , i [0, N) xi = xi+N

Secvenţă “periodizată”:

b). Reprezentarea secvenţelor 1D ca polinom de o variabilă (reală):Fie secvenţa:

cu valorile: care devin coeficientii polinomului:

De exemplu, secvenţa poate fi reprezentată prin polinomul:

Pentru secventa:, rezulta ca:

valoare trecută în raport cu această valoare (actuală) valoare viitoare

5

{... 0, 0, 0, x0, x1, x2, 0, 0, 0, x0, x1, x2, 0, 0, 0, x0, . . . }

Page 6: CAP 1 Secvente _numerice

In figura de mai jos sunt ilustrate cateva operatii simple aplicate secventei x[n].

(Alte) Proprietăţi ale secvenţelor

O secvenţă este pară dacă:, de exemplu:

O secvenţă este impară dacă:

6

x[n]

-4 -3 -2 -1 0 1 2 3 4 5 6 . . . . n

5

32

1

x[-n]

-4 -3 -2 -1 0 1 2 3 4 5 6 . . . . n

5

32

1

x[1-n]

-4 -3 -2 -1 0 1 2 3 4 5 6 . . . . n

x[n+2]

-4 -3 -2 -1 0 1 2 3 4 5 6 . . . . n

5

32

1

-4 -3 -2 -1 0 1 2 3 4 5 6 . . . . n

x[n-2]5

32

1

5

32

1

Page 7: CAP 1 Secvente _numerice

, de exemplu: În general, , care nu este nici para, nici impara, se poate

face descompunerea:

unde:

O secvenţă este periodică, dacă există un , astfel incat:

, de exemplu:

O secvenţă este neperiodică dacă nu îndeplineşte condiţia de mai sus. De exemplu:

1.5 SECVENŢE ELEMENTARE

Secvenţa IMPULS UNITATE (DIRAC) este definita de:

astfel ca:

Un semnal oarecare poate fi reprezentat cu ajutorul impulsurilor Dirac:

7

x[n]

-4 -3 -2 -1 0 1 2 3 4 5 6

. . .1

. . .

xp

-4 -3 -2 -1 0 1 2 3 4 5 6

. . .1/2. . .

-4 -3 -2 -1 0 1 2 3 4 5 6

xi

-4 -3 -2 -1 0 1 2 3 4 5 6

. . .1/2

. . .-1/2

x[n]

-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6 7 8 n

. . .. . .1

x[n]

-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6 7 8 n

. . .. . .1

-4 -3 -2 -1 0 1 2 3 4 5 6 n

x[n]

a0

4

a1a2

a3

a4

a2[n-2]

-4 -3 -2 -1 0 1 2 3 4 5 n

[n]1

3[n-2]3

-4 -3 -2 -1 0 1 2 3 4 5 n

Page 8: CAP 1 Secvente _numerice

Secvenţa TREAPTĂ DE UNITATE este definita de:

Evident:

Secvenţa EXPONENŢIALĂ (COMPLEXĂ) este definita de:

Secvenţa reală este reprezentata:

Secvenţa pur imaginară poate fi reprezentata prin:

Secvenţa este periodică dacă:

=1adica, daca

Rezultă condiţia (de periodicitate):

8

u[n]

-3 -2 -1 0 1 2 3 4 5 6 7 n

. . .1

(cu )

-4 -3 -2 -1 0 1 2 3 4 5 6 n

1

-4 -3 -2 -1 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

-4 -3 -2 -1 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

-4 -3 -2 -1 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

-4 -3 -2 -1 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

Page 9: CAP 1 Secvente _numerice

sau: numar raţional. Pentru m = 1

În plus: , adica are aceleasi valori pentru .

Setul de secvenţe exponenţiale complexe

Fie setul de secvente definit de:

Setul de secvenţe este un set periodic, deoarece:

si contine N functii exponentiale distincte:

În plus, setul finit de secvenţe exponenţiale conţine funcţii ortogonale, formând o bază (totală) ortogonală pentru reprezentarea unei secvenţă periodice.

Într-adevăr, se arată că:

1.6 REPREZENTAREA SEMNALELOR PERIODICE ÎN TIMP DISCRET PRIN SERII FOURIER ÎN TIMP DISCRET (SFTD)

Fie un semnal periodic în timp discret, notat prin:

si reprezentat prin seria Fourier exponenţială:

9

][],...,[],[],[][ 1210 nnnnn Nk

N funcţii exponenţiale

k

pentru:(n = 0)

(n = 1)

…….

(n = N-1)

Page 10: CAP 1 Secvente _numerice

După multiplicare cu şi însumare se obţine:

Schimbând ordinea însumării în membrul drept:

rezultă că pentru k - r = 0, adică k = r, se obtin relatiile:

Analiza secventei

iar: Sinteza secventei

“coeficienţi spectrali” ai lui Exemplu: Secventa periodica x[n] se descompune in:

rezultă că:

Exemplu: Să se dezvolte în SFTD semnalul de forma cu

perioada

Cum:

10

N pentru (k-r) = 0, N, 2N0 în rest

Page 11: CAP 1 Secvente _numerice

rezultă că:

De exemplu, dacă N = 5, atunci , rezulta reprezentarea:

Exemplu:Determinaţi seria Fourier în timp discret pentru semnalul periodic din figura:

Semnalul este periodic cu perioada N = 2. Rezulta ca:

,1

Astfel ca, pentru:

Rezulta ca:

Comentarii privind coeficienţii ck

Dacă , rezultă că: Numărul coeficienţilor ck distincţi este N, de exemplu:

sau

11

-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6

o perioadă ck

x[n]

-3 -2 -1 0 1 2 3 4 5 6 7 n

-3 -2 -1 0 1 2 3 4 5 6 7 n. . .

1

-1

. . .

Page 12: CAP 1 Secvente _numerice

-N1 0 +N1 N n

. . .. . .

][~ nx

Coeficientul reprezintă valoarea medie a semnalului în timp discret:

Dacă N este par, atunci:

Valorile coeficienţilor ck calculate la valorile simetrice faţă de au

valori complex conjugate:

Exemplu: Să se dezvolte în serie Fourier semnalul periodic în timp discret dreptunghic din figura:

De exemplu, pentru şi , rezultă:

Iar pentru , dar , rezulta:

12

0 1 2 3 4 5 6 7 8 9 10=N

0 1 2 3 4 5 6 7 8 9 10=N k

ck

0

0 1 2 3 4 5 6 7 8 20 40 k

ck

Page 13: CAP 1 Secvente _numerice

1.7 REPREZENTAREA SECVENTELOR NEPERIODICEPRIN TRANSFORMATA FOURIER în TIMP DISCRET (TFTD)

Fie x[n] o secvenţă de durată finită:

Construim o secvenţă periodică astfel încât pe o perioadă rezulta:

Pentru secvenţa periodică, rezultă:

Dar:

Definind “anvelopa” coeficienţilor prin:

rezultă că: unde

astfel că:

La limită, cand: : iar

Transformata Fourier in Timp Discret (TFTD) este definită de:

13

D

x[n]

-3 -2 -1 0 1 2 3 4 ... n

-3 -2 -1 0 1 2 3 4 N ... n

-N1 N1

-N1 N1

Page 14: CAP 1 Secvente _numerice

Sinteza secventei x[n]

Analiza secventei x[n]

Exemplu: Să calculăm transformata Fourier în timp discret, a semnalului din figura:

Rezulta ca:

Exemplu: Să se determine transformata Fourier a semnalului neperiodic dreptunghiular în timp discret din figura:

14

x[n]

-3 -2 -1 0 1 2 3 4 ...

-3 -2 -1 0 1 2 3 4 ... n

1

-1

|X()|

0 π 2π ω

arg{X()}

-2 - 0 π’ 2π’ ω

x[n]

-3 -2 -1 0 1 2 3 4 5 6 . . . . . . . n

1

Page 15: CAP 1 Secvente _numerice

Exemplu: Să se determine transformat Fourier a semnalului neperiodic în timp discret, de tip dreptunghiular definit de:

Rezultă ca:

15

|X()|

0 2

0 2 32

2

x[n]

-N1 0 N1 n

1

. . .

(în figură N1 = 2)

X||

-2 - 0 2

Page 16: CAP 1 Secvente _numerice

njD eFX 0)(

-4+0 -2+0 - 0 0 2+0 3 4+0

. . .. . .2 2 2 2 2

1.8 REPREZENTAREA SEMNALELOR PERIODICE în timpdiscret prin TRANSFORMATA FOURIER în TIMP DISCRET

Pentru semnalul exponenţial complex periodic definit de:

Cu alte cuvinte, perechea de functii transformate Fourier este:

iar reprezentarea grafica:

În general, pentru un semnal periodic se defineşte transformata Fourier în timp discret prin

16

Page 17: CAP 1 Secvente _numerice

Exemplu: Să calculăm SFTD şi TFTD pentru secvenţa periodică

pentru care:

SFTD :

Cum:

,

rezultă că putem identifica: , iar

TFTD :

Exemplu: Să calculăm SFTD şi TFTD pentru secvenţa periodică:

care este reprezentat grafic in figura:

Deoarece este periodic, rezulta ca:

unde:

17

)(X

-2 - -/2 0 /2 2-0 2 2+0

N[n]

-2N -N 0 N 2N 3N

1

Page 18: CAP 1 Secvente _numerice

Rezultă că:

Rezultarea grafica pentru este:

1.9 TRANSFORMATA FOURIER DISCRETĂ (TFD)

TFD se aplică unei secvenţe finite, care se periodizează cu perioada NDe exemplu, pentru secventa x[n] finita:

rezulta secventa periodizata:

Pentru secventa periodizata se defineste Transformata Fourier Discreta (TFD) prin:

unde: , care, uneori, este notat mai simplu cu: W

Rezulta perechea de functii TFD:

are proprietăţi similare cu . De exemplu:

18

N

2

-30 -20 -0 0 0 20 30 40

. . . . . .

N()

. . .. . .

0 1 . . . (N-1)

x[n]

-5 -4 -3 -2 -1 0 1 2 3 4 5 6 7

][~ nx

Page 19: CAP 1 Secvente _numerice

a) Secvenţa are doar N eşantioane distincte;b) reprezintă valoarea medie pe o perioadă;

c) Dacă N este par, atunci ;

d) Dacă N este par şi valorile secvenţei sunt reale atunci:

1.10 TRANSFORMATA FOURIER RAPIDĂ este un algoritm de calcul pentru TFD !

În general:

Sistemul de mai sus poate fi rescris sub forma;

Relatiile de mai sus permit reprezentarea sub forma unui graf:

Rezultă pentru numarul de multiplicari in complex ( ) si numarul de

adunari in compex ( ) relatiile:

faţă de N2 = 16

19

-1 = W2

W1 = j

W3 = -j

W=1

H[0]

H[1]

H[0]

H[1]

G[0]

G[1]

G[0]

G[1]

X[0] = (1x[0]+1x[2]) + W0(1x[1]+1x[3]) = G[0]+1H[0]

X[1] = (1x[0]+W2x[2])+W1(1x[1]+ W2x[3]) = G[1]+ W1H[1]

X[2] = (1x[0]+1x[2]) + W2(1x[1]+1x[3]) = G[0]+ W2H[0]

X[3] = (1x[0]+W2x[2])+W3(1x[1]+ W2x[3]) = G[1]+ W3H[1]

x[0] X[0]

x[2] X[1]

x[1] X[2]

x[3] X[3]

G[0]

G[1]

H[0]

H[1]

1

1

1

1

W0=1

W0=1

W2

W2

W0=1

W1

W2

W3

1

1

1

1

Page 20: CAP 1 Secvente _numerice

faţă de N(N-1)=12In concluzie, secvenţele periodice pot fi reprezentate prin SFTD,

corespunzator relatiilor:

astfel ca:

Secvenţele neperiodice pot fi reprezentate prin TFD, corespunzator relatiilor:

astfel ca:

Secvenţele finite pot fi reprezentate prin TFD, corespunzator relatiilor:

astfel ca:

1.11 Principalele proprietăţi (sau teoreme) ale TFTD şi TFD

20

Page 21: CAP 1 Secvente _numerice

1.Liniaritatea

Dacă:

şi ,

atunci:

Dacă:

şi ,

atunci:

2.Translaţia sau deplasarea în timp discret

Dacă:

rezultă că:

Dacă:

rezultă că:

3.Translaţia sau deplasarea în frecvenţă

Dacă: Dacă:

atunci: atunci:

Convoluţia secvenţelor

Pentru doua secvenţe x[n] şi h[n] neperiodice se defineşte convoluţia lor liniară

21

Page 22: CAP 1 Secvente _numerice

De exemplu, pentru sistemul numeric din figura, rezulta:

..., x2, x1, x0, 0,0..

Pentru două secvenţe periodice x[n] şi h[n] de aceeaşi perioadă N se defineşte convolutia lor ciclica:

De exemplu; pe o perioadă N=2 valorile secventelor x[n] si h[n] sunt:

{x}= { x 0, x 1}= ... x 0, x 1, x 0, x 1, x 0, x 1, x 0, x 1, x 0, ....

{h}= { h0, h1}= ... h0, h1, h0, h1, h0, h1, h0, h1, h0, ....

Rezulta ca:

… x1 x0 x1 x0 x1 x0 x1 x0 x1 x0 x1 x0 x1 x0 x1 x0

… h1 h0 h1 h0 h1 h0 h1 h0 h0 h1 h0 h1 h0 h1 h0 h1

pentru n = 0 : pentru n = 1 :

1.11.4. Convoluţia secvenţelor în timp discret

Dacă: Dacă:

22

T T

h0 h1 h2

..................................

211202

01101

000

0

x h0hxhxy

hxhxy

hxy

hxym

mnmn

Page 23: CAP 1 Secvente _numerice

şi: şi:

atunci: atunci:

iar: iar:

APLICAŢIE: Răspunsul SNLI în timp discret

Dacă la intrare: , atunci se notează secventa de iesire cu:

Se defineşte funcţia de transfer:

Dar, deoarece:

Rezultă că:

Adică:

23

SNLI

Page 24: CAP 1 Secvente _numerice

Deci perechea de functii TFTD este in acest caz :

Cum:

Rezultă că:

1.11.5. Modulaţia secvenţelor sau convoluţia în frecvenţă

Dacă: Dacă:

şi: şi:

atunci: atunci:

Corelaţia secvenţelor

Pentru două secvenţe x1[n] şi x2[n] neperiodice se defineşte corelaţia lor

(mutuală) prin:

Dacă:

atunci:

24

Page 25: CAP 1 Secvente _numerice

Pentru - care este energia secventei x[n]

Pentru două secvenţe x1[n] şi x2[n] periodice, de aceeaşi perioadă N, se defineşte corelaţia lor ciclică prin :

Dacă:

atunci:

Pentru:

unde Px este puterea medie a secventei periodice x[n].

1.11.6 Teorema lui Parseval

Dacă x[n] si X() sunt perechi TFTD, adica :

atunci energia secvenţei neperiodice x[n] se calculează cu relatia :

Dacă x[n] si X[k] sunt perechi TFD, adica :

atunci puterea medie într-o perioadă a secventei x[n] se calculează cu relatia :

25

Page 26: CAP 1 Secvente _numerice

1.12 REPREZENTAREA SECVENŢELOR CU TRANSFORMAREA Z

Pentru o secvenţă x[n] se defineşte transformata Z directă:

cu Regiunea de Convergenta (R.d.C.) definita de :

Transformata Z inversă este definită de:

De exmplu, dacă x[n]=[n], rezultă că :

Pentru secvenţa u[n], rezultă ca;

Pentru secvenţa exponenţială: x[n]=an.u[n] ,rezulta ca :

cu R.d.C. definita de : |a z-1|<1 sau |z|>|a|

1.13 Principalele proprietăţi ale TZ

Transformarea Z (TZ) este definita de:

26

Page 27: CAP 1 Secvente _numerice

Principalele proprietati ale TZ sunt :

Liniaritatea

Translaţia (întârzierea) în timp discret

Translaţia (sau deplasarea) în frecvenţă

Proprietatea convoluţiei

APLICAŢIE: Analiza SNLI in planul variabilei z

Pentru SNLI din figura :

se defineşte functia de transfer a SNLI prin:

27

SNLI

Page 28: CAP 1 Secvente _numerice

Dacă R.d.C. cuprinde şi cercul unitate din planul variabilei complexe z , atunci:

Pentru N valori echidistante pe cercul unitate , se obtine :

1.14 PRELUCRAREA NUMERICĂ A SEMNALELOR ANALOGICE

Fie un semnal analogic x(t) şi transformată sa Fourier X()

Cum pot fi determinate cu ajutorul calculatorului eletronic valorile acestor

funcţii pentru abcise echidistante ?

Perechea de functii secvente numerice:

poate aproxima oricât de bine funcţiile continue x(t)X() prin micşorarea

erorilor de aproximare. Aceasta este principala problema in cazul prelucrarii

numerice a semnalelor analogice .

28

Page 29: CAP 1 Secvente _numerice

Perechea de functii semnal de prelucrat x(t) si X()=TF{ x(t)}

Efectul truncherii în frecvenţă a spectrului semnalului X() cu functia H1()

29

Page 30: CAP 1 Secvente _numerice

Rezulta semnalul de banda limitata :

Rezulta un semnal limitata in timp si de banda limitata:

Acest semnal este pregatit sa fie esantionat in timp si in frecventa, adica sa fie

reprezentat numeric prin perechea de secvente:

30

Efectul truncherii în timp semnalului de banda limitata cu functia

poarta h2(t)

)(2 th

t0 0T

)(2 H

0

2

T

0

2

T

)()]()([ 21 ththtx

00T

t

1 2[ ( ) ( )] ( )X H H

M

M